LusoRobótica - Robótica em Português

Software => Software e Programação => Tópico iniciado por: Tayeb em 01 de Agosto de 2012, 18:54

Título: Desenhar, simular e implementar um alarme de carro com FPGA
Enviado por: Tayeb em 01 de Agosto de 2012, 18:54
Caros membros e vistantes,

Acabámos de publicar no nosso blogue em inglês um tutorial em duas partes de desenho, simulação e implementação de um Alarme de carro num FPGA Xilinx.

Na parte 1 do tutorial efectuamos o desenho do circuito digital recorrendo ao método de Table de verdade, mapa de Karnaugh e obter expressão Booleana. Para a simulação utilizamos Multisim 12.0, que permite exportar código VHDL.

Na parte 2 importamos em Xilinx ISE Design Suite o código VHDL feito no Multisim 12.0. Demos a seguir todos os passos para implementar o circuito digital no FPGA contido na Placa de Arranque Spartan 3 da Digilent. Testamos o circuito digital e fizemos um curto vídeo a demonstrar a implementação.

Parte 1 encontra-se em: http://redacacia.wordpress.com/2012/08/01/designing-simulating-and-implementing-a-simple-car-alarm-on-a-xilinx-fpga-part-i/ (http://redacacia.wordpress.com/2012/08/01/designing-simulating-and-implementing-a-simple-car-alarm-on-a-xilinx-fpga-part-i/)

Parte 2  encontra-se em http://redacacia.wordpress.com/2012/08/01/designing-simulating-and-implementing-a-simple-car-alarm-on-a-xilinx-fpga-part-ii/ (http://redacacia.wordpress.com/2012/08/01/designing-simulating-and-implementing-a-simple-car-alarm-on-a-xilinx-fpga-part-ii/)

Multisim oferece uma excelente plataforma de desenho e simulação. Xilinx ISE Design Suite e a placa Spartan 3 da Digilent oferecem excelentes ferramentas de FPGA. Fizemos de facto um "casamento" entre as melhores ferramentas e de maneira simples demonstramos como executar todo o Workflow.

Video demonstrativo:

Implementation of a Simple Car Alarm on Digilent FPGA Spartan 3 board (http://www.youtube.com/watch?v=Mjgthp-12AM#)

Tayeb
Título: Re: Desenhar, simular e implementar um alarme de carro com FPGA
Enviado por: afonso henriques em 01 de Agosto de 2012, 23:48
olá
está ai um bom projeto ,já agora uma questão
este sistema tem de ter um comando para o ativar,ou já é ativado por linhas can ?
como nos carros recentes a maioria tem um comando para fechar e abrir
o ideal era aproveitar essa frequencia para o ativar ou receber esse sinal pela linha can
Título: Re: Desenhar, simular e implementar um alarme de carro com FPGA
Enviado por: Tayeb em 02 de Agosto de 2012, 00:44
olá
está ai um bom projeto ,já agora uma questão
este sistema tem de ter um comando para o ativar,ou já é ativado por linhas can ?
como nos carros recentes a maioria tem um comando para fechar e abrir
o ideal era aproveitar essa frequencia para o ativar ou receber esse sinal pela linha can

Olá Afonso,

Neste exemplo a porta, a chave e o cinto são simulados por interruptores que estão ligados directamente a portas diferentes do FPGA, constrangidos pelas ligações da placa Spartan 3.

O projecto serve para desmistificar FPGAs. Pense só na enorme potencialidade oferecida pelos FPGAs que contêm milhares de portas lógicas dentro deles.

Em muitos países já não se ensina electrónica digital com CIs. Usam-se CPLDs (irmãos mais pequenos de FPGAs) e FPGAs. Em Portugal todas as universidades têm já FPGAs e ensinam-se as duas linguagens mais conhecidas Verilog e VHDL.

Leia o tutorial que publiquei no blogue. Vai achar muito interessante.

Vou procurar um video e colocá-lo aqui que é sobre FPGAs que vi há tempos atrás e acho que é fascinante.

Tayeb 
Título: Re: Desenhar, simular e implementar um alarme de carro com FPGA
Enviado por: Tayeb em 02 de Agosto de 2012, 00:52
Aqui está o video sobre FPGAs:

FPGA "Field Programmable Gate Array"- Introduction (http://www.youtube.com/watch?v=t5qNVvSuvng#)