collapse

* Posts Recentes

Amplificador - Rockboard HA 1 In-Ear por almamater
[27 de Março de 2024, 19:13]


O que é isto ? por KammutierSpule
[26 de Março de 2024, 19:35]


Bateria - Portátil por almamater
[25 de Março de 2024, 22:14]


Emulador NES em ESP32 por dropes
[13 de Março de 2024, 21:19]


Escolher Osciloscópio por jm_araujo
[06 de Fevereiro de 2024, 23:07]


TP4056 - Dúvida por dropes
[31 de Janeiro de 2024, 14:13]


Leitura de dados por Porta Serie por jm_araujo
[22 de Janeiro de 2024, 14:00]


Distancia Cabo por jm_araujo
[08 de Janeiro de 2024, 16:30]


Meu novo robô por josecarlos
[06 de Janeiro de 2024, 16:46]


Laser Engraver - Alguém tem? por almamater
[16 de Dezembro de 2023, 14:23]

Autor Tópico: FSM para implementar um alarme de segurança com FPGA  (Lida 2128 vezes)

0 Membros e 1 Visitante estão a ver este tópico.

Offline Tayeb

  • Mini Robot
  • *
  • Mensagens: 710
FSM para implementar um alarme de segurança com FPGA
« em: 30 de Agosto de 2012, 22:05 »
Uma máquina de estados finitos (FSM - do inglês Finite State Machine) ou autômato finito é um modelo matemático usado para representar programas de computadores ou circuitos lógicos. O conceito é concebido como uma máquina abstrata que deve estar num de seus finitos estados.

A máquina está em apenas num estado de cada vez, e este estado é chamado estado actual. Um estado armazena informações sobre o passado, isto é, reflecte as mudanças desde a entrada num estado, no início do sistema, até o momento presente.

Uma transição indica uma mudança de estado e é descrita por uma condição que precisa ser realizada para que a transição ocorra. Uma acção é a descrição de uma actividade que deve ser realizada num determinado momento.


Para projectar um alarme de segurança de carro recorri a FSM, isto é uma máquina de estados-finitos. Suponhamos que um ladrão abre uma porta de um veículo e fecha a porta rapidamente em poucos milisegundos. Se o circuito lógico for simples demais, o alarme tocará e parará de tocar logo em seguida. Não é isso que queremos. Por issio, precisamos analisar todas as condições e o estado do alarme (se está armado ou não) e se algum evento ocorreu.

Endereçando este problema, escrevi um tutorial de utiliza StateCAD da Xilinx para desenhar o diagrama de estados, depois gerei o código VHDL, testei o diagrama de estados e finalmente testei o código VHDL numa placa de FPGA (Kit de Arranque Spartan 3 da Digilent),

Os membros e visitantes deste espaço podem lêr o tutorial em 3 partes no meu blogue em inglês em:

http://redacacia.wordpress.com/2012/08/17/finite-state-machine-design-of-a-simple-car-security-alarm-on-a-xilinx-fpga-part-i/

Fiz também video que demonstra o alarme, O interruptor do lado esquerdo arma o alarme. Os interruptores do lado direito simulam a porta e um sensor ultrasónico detector de presença  no interior do veículo:



Experimentem repetir a experiência descrita no tutorial. Tenho a certeza que aprendem alguma coisa. Os FPGAs oferecem enorme potencial sendo o futuro de circuitos integrados específicos, acabando por desaparecer os circuitos integrados da família TTL, CMOS e outros com que nos habituamos na electrónica digital.

Tayeb

« Última modificação: 30 de Agosto de 2012, 22:32 por Tayeb »